aboutsummaryrefslogtreecommitdiffstats
path: root/test
Commit message (Expand)AuthorAgeFilesLines
* test_avb: Add pymark.buildconfigspec information for the AVB testsTom Rini2018-06-191-0/+5
* test/py: avb2.0: add tests for avb commandsIgor Opaniuk2018-06-181-0/+111
* test: Fix sandbox_spl test filterSimon Glass2018-06-071-2/+1
* Merge branch 'master' of git://git.denx.de/u-boot-mipsTom Rini2018-06-011-0/+42
|\
| * dm: core: add functions to get memory-mapped I/O addressesÁlvaro Fernández Rojas2018-06-011-0/+42
* | tests: Make test_log.py tests depend on cmd_log not logTom Rini2018-05-311-2/+2
* | test: dm: Fix wrong aliases property namesEugeniu Rosca2018-05-311-1/+1
|/
* test/py: add TPMv2.x test suiteMiquel Raynal2018-05-251-0/+233
* test: fs: fs-test: Modified test 1 to do a ls to a nonexistent dirEugen Hristev2018-05-231-0/+2
* sandbox: tests: Add tests for mc34708 PMIC deviceLukasz Majewski2018-05-181-0/+56
* sandbox: tests: Exclude common test code (pmic_get) in test/dm/pmic.cLukasz Majewski2018-05-181-2/+10
* SPDX: Convert a few files that were missed beforeTom Rini2018-05-101-3/+1
* test: regmap: add read/modify/write testNeil Armstrong2018-05-081-0/+25
* dm: led: add testcase for "default-state" propertyPatrick Bruenn2018-05-081-1/+19
* test: ofnode: test ofnode_device_is_compatible()Masahiro Yamada2018-05-072-0/+18
* test: regmap: test Linux-compatible syscon_node_to_regmap()Masahiro Yamada2018-05-071-0/+17
* regmap: clean up regmap allocationMasahiro Yamada2018-05-071-8/+5
* SPDX: Convert all of our single license tags to Linux Kernel styleTom Rini2018-05-0789-181/+90
* test: dm: regmap: fix license headerMasahiro Yamada2018-04-281-1/+1
* Remove unnecessary instances of DECLARE_GLOBAL_DATA_PTRTom Rini2018-04-2715-30/+0
* clk: add sandbox test for bulk APINeil Armstrong2018-04-101-0/+37
* reset: add sandbox test for bulk APINeil Armstrong2018-04-101-0/+33
* efi_selftest: check installation of the device treeHeinrich Schuchardt2018-04-041-0/+14
* core: ofnode: Fix translation for #size-cells == 0Mario Six2018-04-011-0/+43
* test/py: add spi_flash testsLiam Beguin2018-03-221-0/+218
* test/py: add generic CRC32 functionLiam Beguin2018-03-221-0/+23
* test/py: do not import pytest multiple timesLiam Beguin2018-03-221-1/+0
* test/py: README: add HOSTNAME to PYTHONPATHLiam Beguin2018-03-221-2/+2
* test/py: README: fix typoLiam Beguin2018-03-221-1/+1
* test/py: highlight warnings in the log summaryStephen Warren2018-03-133-3/+50
* test/py: add MMC/SD block read testStephen Warren2018-03-131-0/+129
* dm: video: support increased intensity (bold)Heinrich Schuchardt2018-03-061-1/+1
* dm: video: show correct colors in graphical consoleHeinrich Schuchardt2018-03-061-1/+1
* log: Add tests for the new log featuresSimon Glass2018-02-031-1/+27
* log: Update log_console to honour the log formatSimon Glass2018-02-031-2/+2
* dm: core: Add a function to look up a uclass by nameSimon Glass2018-02-031-0/+9
* vsprintf.c: correct printing of a NULL device pathHeinrich Schuchardt2018-01-281-0/+4
* host-tools: use python2 explicitly for shebangMasahiro Yamada2018-01-281-1/+1
* vsprintf.c: add EFI device path printingHeinrich Schuchardt2018-01-221-0/+37
* test: Set the DTC environment variableSimon Glass2018-01-151-3/+5
* binman: Run code coverage testsSimon Glass2018-01-151-0/+7
* test: Run dtoc testsSimon Glass2018-01-151-0/+1
* test: Run buildman testsSimon Glass2018-01-151-0/+1
* test: Run patman testsSimon Glass2018-01-151-0/+1
* test: Run binman testsSimon Glass2018-01-151-0/+3
* dm: core: parse chosen nodeRob Clark2018-01-152-2/+2
* Merge tag 'xilinx-for-v2018.01-rc2-v2' of git://www.denx.de/git/u-boot-microb...Tom Rini2017-12-181-1/+10
|\
| * test/py: Setup variables based on HUSH selectionStephen Warren2017-12-181-1/+10
* | Merge git://git.denx.de/u-boot-dmTom Rini2017-12-141-0/+2
|\ \ | |/ |/|
| * power: extend prefix match to regulator-name propertyFelix Brack2017-12-121-0/+2